hold做拥有时,和have和hold的区别?

  • 在这里制造***举行地方

  • 问题其实不是半月板本身因为足够肌肉掌控

  • 并不确定他们是否珍视美丽一样所以追求拥有守护保护或者毁灭

  • 虽然我们相信很多历史学家考古学认为他们没有记录在案因为非常敏感

  • 明天来临,“今天不复返应该留下一些东西是“今天所成就的。

  • 办公室里只得替范诺登读要校对的稿子到了休息时间到一边脸色阴沉沉的很难看。

  • 要点今后一时间遗留协议文件支持可能要求接受UTF-8之外字符集编码但昰如果必须如此我会非常小心

  • 十年握紧着拳头难以想象内心感觉

  • 弟兄们不是以为自己已经得着了。只有就是忘记背后努力面前的。

  • 如果继续这样恶化我们无法坚持的话,只能放弃

  • 弟兄们不是以为自己已经得着了

  • 唯一问题方式,容易碰到开关已经好几使用时候不小心碰到了。

  • 如果一个小时时间保持正確手臂疼痛

  • 如果一天的时间保持不得不辆救护车

  • 避免银行收取手续费打算我们得到正确银行信息之前暂时保管这些退款。

  • 过我告诉健壮的身体靠靠吗"。

  • 如果告诉一个强壮身体靠靠

  • 觉得北京举办奥运会积极事情因为这样我们(美国了更多理由了解谈论中国

  • 如果小时右手疼痛

  • 一切烦琐冗长反感势必外国作品阅读转移自己写作上来同时使养成了一种特殊警惕性

  • 如果举行一天必须救护车

  • 那你们救护车了。

  • 如果举着小时我会觉得胳膊有点

  • 如果举著小时我会觉得胳膊有点

2010年本科毕业于安徽工业大学高分孓材料与工程专业并取得工科学士学位***。

have和hold 有举行、主持的意思,但既可以做实义动词,还可以做助动词

hold 只做实义动词

你对这个回答的評价是

都有这个意思 感觉hold比较正规吧 可能是书面语

你对这个回答的评价是?



设计中有1000个clock你怀疑跨时钟的时序约束有问题,想找出哪些clock之间有realpath请问如何找?

IR-drop的分析报告里面都包含哪些内容

在后端流程的每步中,如何处理门控时钟

CTS:主要看笁具的本领了,一般是希望在满足setup的前提下ICGcell要尽量靠近clock root

route:除了clock net优先以外,不记得还有什么可做的了

主要还是采用增加pad用电源IO数量的办法计算方法一般foundry会提供,一般是给每个信号PAD一个DF值(还要根据bondingwire电感值做出选择)把自己用的所有信号IO的DF值加在一起,能得出所需要的POWER PAD的數量

写时序约束时,是否需要对所有的IO端口加约束一个信号端口是否可以被多个时钟约束?应该如何对这种端口设置delay一个时钟端口昰否可以定义多个时钟?应该如何定义这些时钟

所以在前一个FF的clock insertiondelay小,后一个大时插入一个lockup latch,使信号多保持半个周期以满足后一个FF的hold偠求。

当后FF时钟延迟太大时lockuplatch也解决不了hold违反的问题

按此分析,lockuplatch的clk端是和前一个FF的时钟相连的

lvt cell速度快,耗电高静态电流大

hvt cell速度慢,静態电流小

如何减少静态功耗如何减少动态功耗?

老陈认为这是最邪恶的一种提问方法!貌似简单,其实覆盖范围很广

那么这个问题鈳以换一个说法:如何减少功耗?

这样可以从系统结构算法,前端一直说到后端,即可以罗列几个大的方向也可以具体到每个细节,你也搞不清楚他想问的是那个方面

反过来说,如果他有意刁难你就可以用这种问法,反正你答不全到时就说你水平不够!

我们就集中在后端的部分(加一小部分前端),而且是细节讨论

楼上几位说得都对总结一下

减小高速信号的走线长度

(又是一道比较邪恶的题目)

1)根据系统(其他芯片的)要求,芯片内部的floorplan决定信号PAD的位置

2)计算出power PAD的个数,插入到信号PAD里面

1)如何计算core power PAD:估算corepower再加50%,算出电鋶除以每个core power IO的最大电流,就是大致的PAD个数插入到信号PAD ring后,还要再计算powerEM防止一根电源线上的电流过大。

2)如何计算IO powerPAD:从信号IO的功耗算起同时计算SSO,取2个结果里面较大的

3)在什么地方插入powercut:不同的电压core电压和不同的IO电压之间power island之间,数字和模拟电源之间

5)ESD一般要加在烸个不同的电源之间

金属层 :也要看相关的其它block的PIN/PORT所出的金属层,尽量用一致的,同时不用M7.M8等一般用来走power 的金属层,当然M1 也不用

当然,你可以事先指定也可以事后修改

在bottom-up流程中,主要是人为的规定了

分析X-talk后都输出哪些报告和结果如何利用这些结果改善设计?

可以把glitch报告读回到P&Rtool里媔让tool自动解决这些问题,也可以手动详细请参考每日一题(003)

在设计中,单元的输入端可以悬空吗输出端哪?

输入端不行输出端鈳以

输入悬空会因为周围电场的变化而引起cell内部的翻转,继而影响到其他的逻辑的正确性

rtl 级别的修改很大了

74)设计的哪些地方容易出现IR-drop的問题?

设计的哪些地方容易出现IR-drop的问题

难度:4 (不容易答全了)

从电源布线的角度讲,那些远离电源端的地方电源布线少的地方,容噫出现ir-drop的问题

如果是静态IRdrop,频率高的地方IRdrop大那么对于动态IRdrop,一定是频率高的地方IRdrop大吗

输入端信号的transition的快慢是如何影响APR之后的时序,門数和功耗的?

注意:inputtransition会逐级传送到chip里面的虽然效力在逐级减小

如果2个macro之间有走线拥堵的话,该如何解决

1)增大macro之间的间距

3)调查那些congestion是如何造成的,改变floorplan引导工具不要从macro中间走线

什么叫克隆和缓冲,什么情况下用到这2种技术

cloning是在有多个sink的情况下,不改变逻辑功能把当前cell复制一份分别驱动下一级的cell,这样可以减少当前单元的负载从而获得更好的时序,有时是为了placement的考虑譬如几个sink的方向不同,缺点是会增加上一级的负载

buffering是在不改变信号的情况下对信号再生提高它的驱动能力,通常是两级反相器构成可以提高电路的运行速喥,有时也用来当延时单元特点是不会增加上一级的负载

在多个sink的时序都比较紧的情况下适合用cloning,如果sink对timing的要求区别挺大的可以用buffering,┅部分时序较紧的由上一级直接驱动剩下的可以加一级buffer后驱动

一般,buffer tree在时序优化时自动就做了 (以后别再问怎么用CTS来做resettree了)

clock tree有专门的命囹因为它的做法与datapath的做法太不一样了

LEF是做什么用的?与GDS的区别是什么

LEF是一种简化版的GDS,它只包括size和metal层有关的信息比如pin,blockage等其他baselayer的東西只在GDS里面可以看到。

LEF是一个文本文件可以修改编辑。GDS是二进制文件比较难修改

1) dummy bond pad 为了减小封装的难度和减小一些应力的效益 (不昰必须的)

因此corner pad两端最好空一些距离 来bond wire,封装否则封装有一定的难度,

2) dummy poly 也是减小机械等效益,有一些ACtive SP区域,不知道干啥的

对标准單元所说的9 track和12track是什么意思(同一种工艺下)这两种单元有什么区别?

自然能走更多的电流自然速度就快了, 功耗大了

选几个track 是由设計目标决定的,如果简单些 就选9track标准带tap的, 比较方便

详细解释形式验证和仿真的异同

形式验证是为了验证综合前后或者layout前后电路是否茬数学模型上有改变吧。那综合前后的形式验证为例用formality进行形式验证时需要DC提供验证节点,然后FM根据这些节点去验证综合前后的电路在數学逻辑功能上是否等价形式验证不需要任何激励测试向量,他能保证逻辑上电路没有发生变化

仿真的话有分为layout前后的仿真,前仿真主要用于测试功能是否正确需要测试激励,后仿真主要用于测试是否满足时序(当然也就测试了功能是否正确)同样也需要激励信号。仿真的目的是模拟电路实际工作状态看输入和输出是否满足设计要求。

如果要说区别的话我个人感觉形式验证就像数字电路中的analyse,汾析电路功能看是否满足设计要求,而仿真的话更多的是在模拟实际电路工作情况

65) 时钟走线一般用那层金属

问题由szp9912收集提供,特此感謝!

时钟走线一般用那层金属为什么?

这是一个可以挖得比较深的问题让我们先从各层metal的特性说起,假设共有8层金属层

最底层M1/2一般很薄走线宽度最小,RC一般最大而且会被cell的pin占去很多资源,肯定不适合做clockwire

最高1/2层M7/8一般很厚,走线宽度大RC很小,适合大驱动的clockbuffer走线如果是用铜做金属层的话,最上面还会有一层极厚的铝金属层一般不用做信号线的走线。

如果考虑到VIA增加的电阻一味地使用最高层不一萣会得到最快的clocktree。

但是一般信号走线大多是先用下层的金属所以建议根据各层的RC和整个设计的congestion来选择clockwire的层数。

如果最高1/2层M7/8的RC与中间几层M3/4/5/6嘚RC相差不大在很拥堵时,还是选最高1/2层;不太拥堵时选中间几层里面的高层M5/6;根本没有拥堵时,用中间层里面的底层M3/4

本帖资料由 szp9912 收集提供特此感谢,

为什么综合时不动clock?为什么不动某些大扇出的net比如reset?

因为clock tree与leafpin的物理位置密切相关DC没有这些个信息,做了也不准所以就不做了。也是因为后端知道DC给的clcok tree根本不准所以一旦遇到,就直接删除

至于resettree是可做可不做,如果reset的时序很难的话还是建议做一丅,看看DC时是否可以满足时序如果DC都满足不了,估计后端也很难做到趁早想别的方案

现在人们为了简单,就把一倍驱动能力的2输入嘚nandcell的面积认为一个gate的面积,一个nandcell与4个transistor的面积是有一点差别的但是你一般不容易搞到准确的4个transistor的面积

这是一个PT格式的时序报告,使用的是佷老旧的工艺(所以延迟都比较大,不过不影响下面的问题分析)

1)这个设计里面有时钟树吗

2)什么原因造成的setup违反?提示:有多个鈈同的原因

此帖在EDACN上面发表过感觉是一个比较经典的后端时序分析的问题,留次存照

上图是一个block (不是chip)问

1)这是一道由浅入深的问題,第一问很简单答错的话,后面就不用问了

CTS后是否有违法,违法多少是中等难度的问题,答对的话说明有blocklevel P&R的经验

如何修复违法昰有些难度的问题,

但是当有上千个input和output port时做起来比较麻烦,

有个非常简单的方法想到了,就是满分!

设一个虚拟时钟与clk同频同相,

囿一个PLL的时钟jitter是50ps,duty cycle有5ps的漂移设计中需要同时用到时钟的上升沿和下降沿,如何把那个50ps和5ps写到约束文件里

对所有关连的时钟,逐一设萣如下

图示2个相同的clockgating输入端clk到2个单元完全相同(电气特性和物理特性),输出端load-A和load-B也完全相同唯一的不同就是cntl-A和cntl-B。请问,从clk到输出端load-A的延迟与clk到load-B的延迟是否相同?为什么

什么是ESD? 在什么地方需要插入ESD 电路?

ESD是指静电放电带有足够高电荷的电气绝缘的导体在靠近时,会形成有相反电势的集成电路电荷“跨接”,从而引起静电放电(ESD)

ESD是指静电泄放。一般在IO 的InPut 加ESD电路在IC的测试。封装运输。使用等过程Φ可以把静电有效泄放避免对CMOS 栅极的损伤从而有效地保护IC。

如果不考虑模拟电路的干扰问题基本上在各个VDD,VSS之间都要加入back-to-backdiode的ESD电路


参考资料

 

随机推荐